Algorithm of the half-cycle absolute value integration kutengera chitsanzo cha sine

Mapangidwe a 10kV anzeru amtundu wa bokosi potengera nsanja ya Gizwits IoT + Mtengo wa STM32

Mapangidwe a 10kV anzeru amtundu wa bokosi potengera nsanja ya Gizwits IoT + Mtengo wa STM32. Makina owongolera akutali amtundu wa 10kV omwe adatulutsidwa m'nkhaniyi adapangidwa ndikupangidwa ndi Tian Hui wa ku Xi'an University of Science and Technology..

Mapangidwe a 10kV anzeru amtundu wa bokosi potengera nsanja ya Gizwits IoT + Mtengo wa STM32

Makina owongolera akutali amtundu wa 10kV omwe adatulutsidwa m'nkhaniyi adapangidwa ndikupangidwa ndi Tian Hui wa ku Xi'an University of Science and Technology..

Dongosololi limatenga mapangidwe a makina osinthira mabokosi anzeru a 10kV a chipinda cha ophunzira ku koleji ngati maziko a uinjiniya., ndikupanga makina osinthira mabokosi anzeru a 10kV kutengera Intaneti ya Zinthu. Dongosololi lili ndi magawo atatu: kuzindikira wosanjikiza, kuyankhulana ndi gawo la ntchito. Chigawo cha kuzindikira chimakhala ndi udindo wosonkhanitsa deta ya sensa ndi kutumiza kwa woyang'anira munda.

Kuphatikizira zida zachiwiri zamakina monga zida zoteteza ma microcomputer, ma network anzeru mamita, ndi olamulira anzeru zotakataka mphamvu chipukuta misozi, komanso zida zowunikira zachilengedwe monga kutentha ndi chinyezi, kusuta, ndi masensa omiza m'madzi. Woyang'anira patsamba amagwiritsa ntchito microprocessor yophatikizidwa ya STM32, kuphatikiza chiwonetsero cha LCD, mawu alarm module, relay ndi GPRS kulumikizana gawo, ndi zina.; kuyankhulana wosanjikiza amagwiritsa ntchito GPRS kulankhulana opanda zingwe, yomwe imayang'anira njira ziwiri zotumizira deta pakati pa woyang'anira malo ndi nsanja yamtambo; Gizwits mtambo nsanja imapanga njira yowunikira patali ndi pulogalamu yam'manja ya zosintha zamtundu wa bokosi, yomwe ili ndi udindo wosungirako, kusanthula ndi kukonza deta ya ntchito ya thiransifoma yamtundu wa bokosi.

This system finally realizes the user's remote monitoring of environmental parameters such as variable temperature and humidity, alamu ya utsi ndi madzi a maziko, komanso magawo amagetsi monga panopa, Voteji, pafupipafupi komanso mphamvu, ndi zida zogwirira ntchito kudzera pakompyuta WEB kapena mobile APP.

Pakakhala zovuta kapena zolakwika pakugwira ntchito kwa thiransifoma yamtundu wa bokosi, dongosolo kuyang'anira basi kuweruza cholakwika mlingo, ndikutumiza alamu kudzera m'mitundu yosiyanasiyana ya belu lomwe lili patsamba, foni yam'manja APP, SMS ndi foni, ndi zina., ndikudziwitsa ogwira nawo ntchito kuti athetse mavuto obisika munthawi yake ndikupewa ngozi zamagetsi. . Ndikoyenera kuyang'anira kutali ndi kasamalidwe kapakati ka ma transformer a bokosi ndi zipinda zogawa mphamvu, ndipo akhoza kusintha kwambiri mlingo wa nzeru ndi chitetezo cha magetsi ndi kudalirika kwa zida zogawa magetsi m'masukulu, mabizinesi ndi madera.

1. Mawu Oyamba

Ndi kumanga ndi chitukuko cha chikhalidwe chuma ndi kugawa maukonde, pali ochulukirachulukira 10kV bokosi thiransifoma. Malo ang'onoang'ono amtundu wa 10kV amtundu wa bokosi nthawi zambiri amagwiritsa ntchito mawonekedwe osayang'aniridwa komanso owunika pafupipafupi., yomwe ili ndi chidziwitso chochepa kwambiri ndipo ilibe kuyang'anira kutali ndi machitidwe a alamu olakwika a chilengedwe ndi zipangizo. Pakadali pano, ndi chitukuko chofulumira cha ukadaulo wa sensor sensor, teknoloji yophatikizidwa, ukadaulo wolumikizirana ndi ukadaulo wamakompyuta wamtambo komanso kutsika kwa mtengo, 10kV smart box-type substation yozikidwa pa intaneti ya Zinthu yakhala chisankho chabwino kwambiri pakukweza ndikusintha masiteshoni amtundu wanthawi zonse.

Makina anzeru amtundu wa bokosi loyang'anira kutali kutengera intaneti ya Zinthu amatha kuyang'anira malo amtundu wa bokosi, magawo ogwiritsira ntchito ndi mawonekedwe a zida munthawi yeniyeni. Monga momwe tawonetsera pa Chithunzi 1.

Ogwiritsa ntchito amatha kuyang'anira patali zinthu zachilengedwe monga kutentha ndi chinyezi cha bokosi, alamu ya utsi, ndi kuwunjikana madzi m’maenje a maziko, komanso magawo amagetsi monga panopa, Voteji, pafupipafupi, ndi mphamvu factor, ndi mawonekedwe a zida kudzera pamakompyuta kapena ma APP am'manja nthawi iliyonse. Pamene bokosi gawo lapansi likuyenda mwachilendo kapena likulephera, njira yowunikira idzadziwitsa ogwira nawo ntchito pogwiritsa ntchito njira zosiyanasiyana za foni yam'manja APP, SMS ndi foni kutengera vuto, kuti athetse zolakwika zobisika munthawi yake ndikupewa ngozi zamagetsi.

10kV smart box-type substation based on the Internet of Things

10kV smart box-type substation yotengera intaneti ya Zinthu

 

Dongosolo loyang'anira kutali la smart box-type substation lili ndi a "fieldbus LAN" mkati mwa bokosi-mtundu wagawo ndi a "cloud platform wide-area network" kunja kwa kagawo kakang'ono kabokosi. Mapangidwe amtunduwu angagwiritsidwe ntchito popanga njira zowunikira kutali kwa zida zachiwiri zoperekera madzi zida zowunikira kutali, kasamalidwe ka magetsi a nyumba zogona, ndi makina owunikira akutali kuti apititse patsogolo nzeru ndi kasamalidwe ka zida zachikhalidwe ndikulimbikitsa kukweza kwa mafakitale azikhalidwe.. Ili ndi mtengo wabwino wogwiritsa ntchito.

2. Mapangidwe a pulogalamu yonse

Mapangidwe a kagawo kakang'ono kamtundu wa bokosi lanzeru lozikidwa pa intaneti ya Zinthu amatengera kapangidwe ka kagawo kakang'ono ka 10kV smart box-type m'nyumba ya ophunzira aku koleji.. Mapangidwe a makina a 10kV anzeru amtundu wa bokosi ndi ofanana ndi amtundu wa 10kV wamtundu wa bokosi., ndipo amakhala wokhwima. Nkhaniyi ikukamba za kapangidwe kanzeru ka 10kV smart box-type transformer. Zotsatirazi ndikuwunika mwachidule za kapangidwe ka makina oyambira a 10kV smart box-type transformer..

2.1 Mapangidwe a pulayimale a 10kV anzeru amtundu wa bokosi

Mphamvu yamagetsi No. 5 nyumba wophunzira m'mayunivesite makamaka kuyatsa, makompyuta ndi katundu watsopano wowonjezera mpweya. Malo ogona aliwonse amawerengedwa ngati 8 anthu, katundu wowunikira ndi 100W, wophunzira aliyense kompyuta katundu ndi 200W, ndipo hanger iliyonse yamtundu wa 1.5P imayikidwa kumene ndi zoziziritsa mpweya Mphamvu ndi 1.3kW, ndipo katundu wa chipinda chogona chimodzi ndi 3.0kW. Malinga ndi "Buku Lopanga Kapangidwe ka Mphamvu Zamakampani ndi Zaboma", the dormitory's power load demand coefficient is 0.7, ndi mphamvu factor ndi 0.8.

 

Poganizira kuchuluka kwa magetsi a nyumba ya ophunzira m'tsogolomu, thiransifoma iyenera kusunga malire ena, ndipo thiransifoma yokhala ndi mphamvu ya 1000kVA imasankhidwa. Poganizira za mtengo woyambira komanso mtengo wogwirira ntchito wa transformer, komanso zofunikira za ndondomeko ya dziko lonse yosungira mphamvu ndi kuchepetsa mpweya, thiransifoma yotayika yotsika kwambiri yomizidwa ndi mafuta S13-M-1000/10-0.4 yasankhidwa kuti izi zitheke..

Pamaziko a katundu ziwerengero mawerengedwe, kuwerengera kwafupipafupi, kutsimikizira kukhazikika kwamphamvu ndikutsimikizira kukhazikika kwamafuta, zida zazikulu za dongosolo loyamba la bokosi-mtundu wa transformer zimasankhidwa. Zitsanzo zenizeni ndi magawo aukadaulo a zida zazikulu zamakina oyambira akuwonetsedwa mu Table 3, Table 4, Table 5 ndi Table 6.

Malingana ndi zofunikira zamapangidwe a No. 5 kagawo kakang'ono ka pulojekiti ya nyumba za ophunzira komanso kuwerengera kamangidwe kadongosolo, chithunzi chachikulu cha mawaya a dongosolo lopangidwira la No. 5 kagawo kakang'ono kabokosi kanyumba ka ophunzira akuwonetsedwa pazithunzi 2.

The main wiring diagram of the primary system of a box-type substation in a student apartment in a university

Chithunzi 2 : Chojambula chachikulu cha mawaya a pulayimale ya kagawo kakang'ono ka bokosi m'chipinda cha ophunzira ku yunivesite

 

2.2 Intelligent Design Scheme ya 10kV Box Transformer

Kuyang'ana pa zolakwika zamapangidwe ndi zovuta zomwe zilipo pakuwongolera magwiridwe antchito a 10kV tradutional box-type substation, chinsinsi chothetsera vutoli chagona pakupanga makina otsekedwa otsekedwa kuti azindikire, kuyang'anira kutali ndi alamu ya malo anzeru amtundu wa bokosi ndi zida, ndipo kapangidwe kake kamakhala ndi kuwunika kwakutali kwa malo ogwirira ntchito ndi zida zamagetsi., kutentha kozungulira ndi chinyezi, alamu ya utsi, ndi madzi mu ngalande ya chingwe, komanso magetsi, panopa, mphamvu yogwira ntchito, zotakataka mphamvu, mphamvu, kutentha kwa chingwe, thiransifoma thiransifoma, ndi zina.

Kutentha kwa thupi ndi chizindikiro cha alamu ya gasi ndi zida zina zogwirira ntchito, chiwongolero chakutali chotulutsa mpweya, chipangizo choteteza ma microcomputer ndi switch switch switch.

Dongosololi limatenga zida zanzeru ndi masensa anzeru okhala ndi ntchito zoyankhulirana zomwe zimagwiritsidwa ntchito m'malo ogulitsa mafakitale, ndikutumiza deta yosonkhanitsidwa kwa woyang'anira kumunda kudzera mukulankhulana kwa basi, ndipo woyang'anira munda amatumiza deta ku seva kapena kompyuta yosungira mitambo kudzera mu module yolumikizana yopanda zingwe kapena waya. Dziwani ntchito monga telemetry, chizindikiro chakutali ndi kuwongolera kwakutali.

Dongosolo loyang'anira zakutali la 10kV wanzeru bokosi thiransifoma yozikidwa pa intaneti ya Zinthu imagwiritsa ntchito zida zanzeru ndi masensa okhala ndi kulumikizana kwanjira ziwiri za digito., ndikutengera mawonekedwe a basi a RS485 ndi njira yolumikizirana ya Modbus-RTU. Dongosolo lakutali loyang'anira anzeru bokosi thiransifoma yozikidwa pa intaneti ya Zinthu ili ndi magawo atatu: gawo la kuzindikira, kuyankhulana ndi gawo la ntchito.
* Perception layer: kudzera pamamita osiyanasiyana anzeru ndi masensa anzeru kuti asonkhanitse malo osiyanasiyana, zida zogwiritsira ntchito magawo ndi zida zogwirira ntchito zamabokosi a transformer, ndikutumiza deta kwa woyang'anira munda kudzera pa basi ya RS485. Woyang'anira munda ali ndi makina ogwiritsira ntchito ndipo ali ndi deta, Kompyuta, processing ndi kulamulira ntchito.
* Kulumikizana wosanjikiza: Chosanjikiza ichi ndi kulumikizana ndi ulalo wa kufalitsa ndi kusinthanitsa deta, ndipo imayang'anira njira ziwiri zotumizira deta pakati pa nsanja yamtambo ndi woyang'anira pamalowo. Malinga ndi zenizeni zoyankhulirana za thiransifoma yamtundu wa bokosi, njira zosiyanasiyana zoyankhulirana monga chingwe cholumikizira mawaya, Efaneti kapena opanda zingwe GPRS akhoza kusankhidwa kutumiza deta ku mtambo nsanja.
*Ntchito yosanjikiza: Pangani ndikupanga njira yowunikira yakutali kwa osintha amtundu wa bokosi papulatifomu yamtambo, udindo wotumiza, kulandira ndi kukonza mbiri yakale, kuyang'anitsitsa kwakutali kwa magawo ogwiritsira ntchito amtundu wa bokosi, ndi kulemba kusungirako ndi kusanthula deta yogwira ntchito. Ndipo pangani WEB ndi mafoni APP pamtambo, wamagetsi omwe ali pantchito amatha kuyang'anira momwe ntchito ikugwirira ntchito ndi zida zosinthira bokosi nthawi iliyonse kudzera pakompyuta kapena APP yam'manja.

3. Kapangidwe ka hardware ka dongosolo

3.1 RS485 mabasi amderali amdera lamalo osinthira bokosi

Ndi chitukuko ndi kupita patsogolo kwa teknoloji yophatikizidwa ndi kuchepetsa mtengo, zida zambiri zozindikira, masensa ndi ma actuators ali ndi ma microprocessors omangira zofooka ndi zovuta za kufalitsa chidziwitso cha zida zamtundu wamtundu wa bokosi., zomwe zimatha kumaliza kutembenuka kwa ADDA ndikuyika mizere ndi kusefa kwa digito. Ma serial communication data interface amawonjezedwa mkati mwazida zam'munda za digito, ndi chosalekeza njira ziwiri kulankhulana pakati zipangizo kumunda akhoza anazindikira pogwiritsa ntchito ogwirizana muyezo kulankhulana protocol.

Mabasi omwe amagwiritsidwa ntchito kwambiri masiku ano.

Chimodzi mwamakiyi opangira makina owunikira akutali a ma smart box-type transformers ndikusankha zida zanzeru ndi masensa okhala ndi njira ziwiri zolumikizirana za digito., ndikutengera njira zolumikizira mabasi okhazikika komanso ogwirizana komanso njira zolumikizirana. Kuyambira paukadaulo waukadaulo wa 10kV smart box-type transformation design mu No. 5 nyumba ya ophunzira, RS485 bus ndi Modbus-RTU communication protocol nthawi zambiri zothandizidwa ndi masensa anzeru amasankhidwa.

Malinga ndi chithunzi chachikulu cha mawaya a dongosolo loyambirira la bokosi-transformer m'chipinda cha ophunzira ku koleji ndi mawonekedwe a block block ya 10kV intelligent box-transformer remote monitoring system., dongosolo hardware kapangidwe amatenga bokosi-transformer munda wolamulira monga pachimake, ndipo imakhala ndi chipangizo chotetezera chophatikizika cha microcomputer, mita wanzeru maukonde ndi wanzeru zotakasika mphamvu Secondary dongosolo zida monga compensator, ndi masensa kuzindikira chilengedwe monga zoyezera kutentha ndi chinyezi utsi, Masensa a kutentha kwa PT100 ndi masensa olowera m'madzi amapanga ma RS485 mabasi amdera lamalo osinthira bokosi ndi bokosi.. Chithunzi cha RS485 bus local network system system ya chosinthira bokosi chikuwonetsedwa pazithunzi 4.

RS485 bus local area network system chithunzi cha malo osinthira mtundu wa bokosi

RS485 bus local area network system chithunzi cha malo osinthira mtundu wa bokosi

 

(1) RS485 serial communication bus

Njira yolankhulirana ya basi ya RS485 ndi njira ya master-slave, ndipo mbuye chipangizo zisankho aliyense kapolo chipangizo kulankhulana, ndi maukonde amodzi-to-multi-point akhoza kukhazikitsidwa kuti apange dongosolo logawidwa. Mawonekedwe a RS485 ndi mawonekedwe omwe amagwiritsidwa ntchito kwambiri otsika liwiro, ndi mawonekedwe a RS485 ali ndi zotsatirazi.
* Chithunzi cha RS485.

Kulumikizana kwa mawonekedwe a RS485 kutengera njira yopatsirana yosiyana, ndipo ili ndi kuphatikiza kwa dalaivala wolinganiza ndi wolandila wosiyana, ndipo amagwiritsa ntchito kusiyana kwa voteji kumapeto onse a chingwe kutumizira ma signature, zomwe zimathandizira kwambiri kukana kusokoneza wamba komanso kusokoneza phokoso.
* Mabasi a RS485 ali ndi kufalikira kwakukulu komanso mtunda wautali wautali. The pazipita kufala mtunda ndi pafupifupi 1200 mita, ndipo mlingo waukulu wotumizira ndi 10Mbps; mlingo wake kufala ndi mosiyana ndi mtunda kufala, ndipo mtunda wautali wotumizira ukhoza kufika pamene mlingo uli pansi pa 20kbps.
* Thandizani ma node angapo. Mwambiri, njira ya basi ya RS485 imatha kuthandizira 247 node za chipangizo.

(2) Modbus-RTU serial communication protocol

Protocol ya Modbus imagwiritsidwa ntchito pamabasi amakampani. Kupyolera mu protocol, kuyankhulana kwa data kungathe kuchitidwa pakati pa wolamulira ndi zipangizo zakumunda. Zida zopangidwa ndi opanga osiyanasiyana zimatha kupanga njira yowunikira mabasi amakampani potsatira ndondomeko yogwirizana. Protocol ili ndi dongosolo la kapolo-kapolo, node imodzi mu network, ndipo enawo ndi akapolo, ndipo node iliyonse ya akapolo ili ndi adilesi yapadera ya chipangizocho.

Mu serial bus network, node ya master imayamba kulamula, ndipo zida zonse zaukapolo zidzalandira lamulo. Lamulo la Modbus lili ndi adilesi ya chipangizo cha akapolo chomwe chimapereka lamulolo, ndipo chipangizo cha kapolo chosankhidwa ndi chipangizo chachikulu chimayankha poyamba ndiyeno chimapereka lamulo. Pali macheke m'malamulo a Modbus kuti awonetsetse kuti malamulo omwe akufika sanawonongeke.. Malamulo a Modbus amatha kulangiza RTU kuti isinthe mtengo wake, werengani kapena wongolera doko la I/O, ndikuyitanitsa chipangizocho kuti chibweze data yolembetsa imodzi kapena zingapo.

Modbus imaphatikizapo ASCII, RTU ndi TCP mitundu itatu ya mauthenga. ASCII kufala mode, Mtengo wapatali wa magawo LRC, otsika kufala bwino, koma mwachilengedwe, zosavuta komanso zosavuta kuthetsa. Njira yotumizira RTU, pogwiritsa ntchito cheke CRC, mkulu kufala mwachangu, zovuta pang'ono kuposa ASCII. Nthawi zambiri, ngati kuchuluka kwa deta yomwe iyenera kutumizidwa ndi yaying'ono, Mutha kuganizira kugwiritsa ntchito protocol ya ASCII; ngati kuchuluka kwa deta yomwe iyenera kutumizidwa ndi yaikulu, ndibwino kugwiritsa ntchito protocol ya RTU. Pachifukwa ichi, zida zanzeru ndi masensa a dongosolo lino amatengera njira yolankhulirana ya Modbus-RTU mofanana.

3.2 Mapangidwe a Hardware a Field Controller wa Box Transformer

Woyang'anira munda wa bokosi la transformer amapangidwa ndi dongosolo lophatikizidwa. Dongosolo lophatikizidwa ndilogwiritsa ntchito-centric, mapulogalamu ndi hardware akhoza kusinthidwa, ndipo amazindikira zida zokha, nzeru, ndi ntchito zowunikira kutali. Amapangidwa makamaka ndi ma microprocessors ophatikizidwa, hardware zogwirizana, ophatikizidwa machitidwe opaleshoni, ndi machitidwe a pulogalamu yamapulogalamu.

(1) STM32 microprocessor yochepa dongosolo

Dongosolo lochepera la microprocessor lophatikizidwa limaphatikizapo ma microprocessor ophatikizidwa, yambitsaninso dera ndi debugging circuit. Kuzungulira koloko kumapereka chizindikiro cha wotchi yakunja yofunikira, dera lokonzanso limapereka chikhalidwe choyambirira chogwirizana, ndi debugging dera amapereka mawonekedwe kwa pulogalamu kukopera ndi debugging.
* Njira yophatikizika ya microprocessor. Malinga ndi zofunikira za 10kV intelligent box transformer remote monitoring system kuti woyang'anira pamalowo agwire ntchito., makinawa amasankha chip STM32F103ZET6 ngati microprocessor yayikulu ya woyang'anira pamalowo.. STM32F103ZET6 yophatikizidwa ndi microprocessor, MCU ili ndi kuphatikiza kwakukulu, kugwiritsa ntchito mphamvu zochepa, ndi ntchito yokwera mtengo. Ndizoyenera pazofunikira zosiyanasiyana zamagwiritsidwe ntchito pantchito zachipatala zamakampani, ndipo akhoza kukwaniritsa zofunikira za kupeza deta ndi kukonza nthawi yeniyeni ya dongosolo lino.
* Crystal oscillator dera. Dongosolo la crystal oscillator limapereka kugunda kwafupipafupi kwa microprocessor kuti microprocessor igwire ntchito bwino.. Microprocessor ya STM32 ili ndi ma oscillator awiri a crystal, 8MHz crystal oscillator imapereka wotchi yakunja yothamanga kwambiri, ndi 32.768KHz crystal oscillator imapereka wotchi yakunja yothamanga kwambiri.

* Bwezeretsani dera. Ntchito ya microprocessor reset circuit ndikuyambitsanso dongosolo. Pamene dongosolo likulephera, akanikizire Bwezerani batani kuyambitsanso chipangizo. Nthawi zambiri, dera lobwezeretsanso limagwiritsa ntchito chizindikiro chotsika kuti chiyambitsenso.

(2) Mapangidwe amagetsi amagetsi

Dongosolo limasankha magetsi akunja a DC okhala ndi voliyumu ya 12V (2A), ndikusankha DC 5V (2A) adaputala yamagetsi yokhala ndi mawonekedwe a USB kuti ipereke mphamvu kwa wowongolera m'munda. Mphamvu yamagetsi ya 12V DC imalumikizidwa ndi board board, ndi magetsi a DC 12V adatsitsidwa kuti apeze magetsi a DC 5V kudzera mu gawo lotsikirapo la LM2596S.. Magetsi a 5V amadutsa pa chipangizo cha AMS1117-3.3V kuti apeze voteji ya 3.3V kuti apereke mphamvu ku chipangizo cha STM32.. Chithunzi chozungulira cha LM2596S ndi AMS1117-3.3V chowongolera magetsi chikuwonetsedwa pazithunzi. 8.

(3) Mawonekedwe a RS485 kukhala gawo la TTL

Mawonekedwe a RS485 kupita ku gawo la TTL amazindikira kutembenuka kwa njira ziwiri ndi kulumikizana kwa chizindikiro cha RS485 ndi chizindikiro cha TTL., koma chizindikirocho chiyenera kuchitidwa mosiyana, ndipo sangathe kuchitidwa mbali ziwiri nthawi imodzi. Zida zonse zam'munda zimagwiritsa ntchito gawoli kuti zigwirizane ndi microcontroller, ndipo chithunzi cha waya chikuwonetsedwa mu Chithunzi 9.

Wiring Diagram of TFT-LCD and STM32 MCU - Figure 9

Zithunzi za Wiring za TFT-LCD ndi STM32 MCU - Chithunzi 9

(4) LCD chiwonetsero chazithunzi

Popeza woyang'anira malo amtundu wa bokosi loyang'anira kutali amayenera kuwonetsa manambala ndi zilembo zambiri, chiwonetsero cha 2.8-inch TFT-LCD chamadzimadzi chakristalo chimasankhidwa ngati gawo lowonetsera, ndipo pali ILI9341 wolamulira mkati. Ganizirani za STM32 imatha kulumikizana ndi ILI9341 kudzera mu mawonekedwe a SPI, 8080 mawonekedwe kapena mawonekedwe a RGB. Kuti mukwaniritse zotsitsimutsa mwachangu, TFT-LCD amagwiritsa ntchito 8080 mawonekedwe a mabasi a data ofanana. STM32 imatulutsa data ku ILI9341 chowongolera kukumbukira kudzera pa module yosinthika ya FSMC.

(5) Module ya alarm ya mawu

Pamene STM32 microprocessor iwona kuti pali zolakwika kapena zolakwika mu data ya opareshoni ya bokosi la transformer., idzatumiza uthenga ku doko lachinsinsi ndikuyambitsa alamu ya mawu. Gawo la alamu ya mawu limasankha chip SYN6288 chomwe chimagwiritsidwa ntchito kwambiri, ndipo imaulutsa zidziwitso za alarm ya mawu molingana ndi pulogalamu yokonzedwa. Makinawa amagwiritsa ntchito code ya GB2312, zomwe ndizoyenera kusinthanitsa zidziwitso pakukonza zilembo zaku China komanso kulumikizana kwachi China. SYN6288 imazindikiranso manambala molondola, nthawi ndi masiku, ndi mayunitsi oyezera omwe amagwiritsidwa ntchito kwambiri.

(6) Relay module

Woyang'anira munda amasankha gulu la 2-way optocoupler isolation relay modules kuti athetse kutsegula ndi kutseka kwa DC 12V alamu belu ndi fan., ndi 220V AC solid state relay imatha kusankhidwa molingana ndi mtundu wamagetsi ndi mphamvu ya fan yamtundu wa bokosi. Gawo lililonse la relay limakhala lotseguka ndipo nthawi zambiri limatseka, komanso chizindikiro cha mawonekedwe a LED; dera lililonse lopatsirana limasiyanitsidwa ndi optocouplers, ndipo ili ndi diode ya freewheeling kuti itulutse voteji yoyendetsedwa ndi relay ndikuteteza dera lapitalo.. Pamene yozungulira kutentha kwa thiransifoma bokosi kuposa osiyanasiyana osiyanasiyana, wowongolera pamalowo amatulutsa mulingo wapamwamba, ndi imodzi ya optocoupler isolation relay imachita kuyatsa fani kuti izizire; pamene zolakwika zazikulu monga kuchuluka kwa utsi wochuluka zimachitika, wowongolera amayendetsa njira ina ya optocoupler isolation kuti alumikizane The alarm bell loop imatumiza alamu pamalopo.. Pazithunzi zogwirira ntchito za relay ndi chithunzi cholumikizira pakati pa gawo lopatsirana ndi chipangizo cha STM32, chonde funsani wopanga ndikutumiza pempho.

(7) Communication module

Malinga ndi kamangidwe ka 10kV wanzeru bokosi thiransifoma kutali polojekiti dongosolo, kuyankhulana pakati pa thiransifoma wanzeru ndi mtambo kumasankha njira yolankhulirana yopanda zingwe ya GPRS yokhala ndi mtengo wotsika wamagalimoto. Gizwits firmware GPRS module.
*Gizwits firmware GPRS module (G510_GAgent firmware). Module iyi ndi pulogalamu yogwiritsira ntchito yomwe ikuyenda pama module osiyanasiyana olumikizirana, kupereka ntchito monga njira ziwiri zotumizira deta yamtambo ndi chipangizo chazinthu, kasinthidwe kachipangizo mu netiweki, kuzindikira ndi kumanga, ndi zowonjezera pulogalamu. Chithunzi chozungulira pakati pa gawo la GPRS ndi woyang'anira wamkulu angapezeke polumikizana ndi wopanga.

*Gawo la GPRS-GA6. Module iyi imatha kuzindikira kufalikira kwa mawu, uthenga waufupi ndi deta yokhala ndi mphamvu zochepa. Ndi oyenera zosiyanasiyana kapangidwe amafuna M2M ntchito, makamaka pakupanga zinthu zazing'ono. Kachiwiri, njira yolumikizirana imatengera ma UART serial port bus transmission, amagwiritsa ntchito malamulo a AT kuti aziwongolera gawo, ndikusankha kuchuluka kwa baud kwa 115200bps. Lumikizanani ndi Wopanga IoT pazithunzi zolumikizirana ndi gawo la GPRS-GA6 ndi STM32 microcontroller.

3.3 Chida chachitetezo chokwanira cha Microcomputer

Malinga ndi mawonekedwe achitetezo amagetsi amagetsi (GB/T 50062-2008), 10Ma thiransifoma a kV nthawi zambiri amafunikira kukhazikitsa chitetezo chopitilira nthawi. Chipangizo choteteza ma microcomputer chili ndi chitetezo, kuyeza ndi kuwongolera ntchito za thiransifoma ndi mizere, komanso ntchito zopezera deta, kuyang'anira ndi kudzifufuza kwadongosolo, ndipo ali ndi chidwi chachikulu komanso chodalirika.

Malinga ndi kapangidwe ka 10kV wanzeru bokosi kusintha mu chipinda wophunzira, chipangizo chamakono cha Ankerui AM3-I chamtundu wa microcomputer choteteza kwambiri chokhala ndi ntchito yolumikizirana ya RS485 chasankhidwa, ndi IA, IB, KODI, UA, UB, UC, P, Q, Fr ndi miyeso ina yamagetsi ya Parameter, 8-kupeza ma siginolo akunja kwa chiteshi, ndi ntchito zotsegula ndi kutseka kwa circuit breaker remote control ndi ntchito zina. Chojambula cholumikizira ma waya cha AM3-I microcomputer chitetezo chida ndi motere:

Wiring terminal diagram of AM3-I microcomputer protection device

Chiwonetsero cha mawaya amtundu wa AM3-I microcomputer chitetezo chida

 

3.4. Smart network mita

Mamita a netiweki anzeru amagwiritsidwa ntchito kuzindikira magawo amagetsi monga magawo atatu apano, voteji ndi mphamvu ya low-voltage magetsi kugawa madera, komanso kutsegulira ndi kutseka kwa ma switch odzipatula ndi ophwanya ma circuit. Malinga ndi kapangidwe zofunika dongosolo yachiwiri ya 10kV wanzeru bokosi thiransifoma, Ankerui ACR wanzeru maukonde mita ndi RS485 kulankhulana ntchito amasankhidwa, ndi mawonekedwe a mawaya a ACR wanzeru network mita ndi otsika-voltage panopa thiransifoma ndi mawaya chithunzi cha ma switch input ndi zotuluka amasankhidwa..

 

ACR smart network mita low-voltage current transformer ndi digito zolowetsa ndi zotulutsa mawaya chithunzi

ACR smart network mita itengera Modbus-RTU protocol, zomwe zimatha kuyeza ndi kusonkhanitsa magawo a mphamvu. Ntchito yolowera yosinthira imatha kuzindikira kusintha kwa chosinthira chodzipatula komanso chophwanyira dera, ndipo ntchito yotulutsa relay imatha kuyatsa ndi kuzimitsa chowotcha chakutali. Mamita anzeru a ACR ali ndi dongosolo logwirizana la tebulo la adilesi yolumikizirana, zomwe zimatha kuzindikira ntchito zitatu zakutali za telemetry, chizindikiro chakutali ndi kuwongolera kwakutali.

(1) Kusintha kwa AD ndikuwerengera chizindikiro cha analogi cha AC

The 3 magawo voteji chizindikiro ndi 3 zizindikiro zamakono (thiransifoma linanena bungwe zizindikiro) zosonkhanitsidwa mwachindunji ndi ACR smart network mita ndi kuchuluka kwa analogi, zomwe ziyenera kusinthidwa kukhala ma digito omwe amatha kuzindikirika ndi CPU pakukonza deta. Choyamba, ndi 3 gawo voteji 220V ndi 3 ma analogi apano amasinthidwa kukhala ma siginecha otsika kwambiri kudzera pa chosinthira, ndikusinthidwa kukhala voteji yomwe imaloledwa ndi chosinthira cha AD kudzera pamagetsi opangira loop; , kusinthidwa kukhala chizindikiro cha digito ndi AD ndikulowetsa ku CPU. Chithunzi chojambula cha sampuli ndi kusintha kwa AD kwa kuchuluka kwa analogi ya AC chikhoza kupezeka polumikizana ndi wopanga..

* Kusintha kwamagetsi a analogi ndi kusefa kwapansi. Ntchito ya dera lopanga voteji ndikupatula magetsi ndikusintha magetsi. Nthawi zambiri, chosinthira cha AD chimafuna kuti siginecha yolowera ikhale ± 5V ndi ± 10V, kotero chiŵerengero cha kusintha kwa voliyumu chosinthira chikhoza kutsimikiziridwa. Zosefera zotsika pang'ono zimagawidwa kukhala zosefera zopanda pake komanso zosefera zogwira ntchito. Zosefera zogwira ntchito zimapangidwa ndi ma capacitor, resistors ndi Integrated ntchito amplifiers, zomwe zimakulitsa chizindikiro posefa. Zosefera za Passive zimangokhala ndi ntchito zosefera koma palibe ntchito zokulitsa chizindikiro.
* Zizindikiro za analogi. The sampling process should follow Shannon's sampling theorem, kuti, mafupipafupi a zitsanzo sayenera kuchepera 2 kuchulukitsa pafupipafupi kwa chizindikiro cholowetsa. Njira yotsatsira sampuli ndiyofulumira kwambiri. Zosintha zaposachedwa za AD zosinthira zafika pamlingo wa nanosecond, pomwe nthawi yachitsanzo ya chipangizo chamagetsi chodziwikiratu chili pamlingo wa millisecond, kotero ma voliyumu ndi ma siginecha apano a malupu asanu ndi limodzi amatha kugawana chosinthira chimodzi cha AD, koma dera lachitsanzo Liyenera kukhala ndi chipangizo chogwiritsira ntchito chitsanzo ndi chogwiritsira ntchito multiplexer.
* Kusintha kwa AD. Otembenuza AD amaphatikizapo kuyerekezera motsatizana, zofunika, kuwerenga, kufananiza kofananira, ndi VFC voltage-frequency converters. Chosinthira motsatizana cha AD ndichoyimira liwiro komanso kulondola mu ADC, ndipo ili ndi kutembenuka kwapamwamba pamlingo wapamwamba wotembenuka.

(2) Half cycle absolute value integration algorithm ya sinusoidal electric quantity

Chinsinsi cha algorithm ya pulogalamuyo ndikuwongolera kulondola komanso kuthamanga kwa ma algorithm. Chinsinsi cha AC sampling aligorivimu ya mita yanzeru ndikuwongolera momwe mungawerengere matalikidwe kapena phindu la sinusoidal sampling sinusoidal molingana ndi mtengo wanthawi yomweyo wa sinusoidal. Algorithm yomwe imagwiritsidwa ntchito kwambiri pazambiri za sine ndi theka-cycle absolute value integration algorithm.. Mfundo ya theka-cycle absolute value integration aligorivimu ndiyoti chophatikizika cha mtengo wokwanira wa sine kuchuluka mu theka lililonse ndi S nthawi zonse., ndipo mtengo wofunikira wokhazikika S alibe chochita ndi mbali yoyambira . Kuphatikizika kwa mtengo wapakati pa theka la algorithm kutengera mtundu wa ntchito ya sine kukuwonetsedwa pazithunzi 19.

Algorithm of half-cycle absolute value integration based on sine function model

Algorithm of the half-cycle absolute value integration kutengera chitsanzo cha sine

 

Mawu owerengera phindu lapano pogwiritsa ntchito theka-cycle absolute value integral algorithm akuwonetsedwa pachithunzi pansipa.:

The Expression of Calculating the RMS Current by Half Cycle Absolute Value Integral Algorithm
Mu chilinganizo, S imayimira kufunikira kwa mtengo wathunthu mkati mwa theka la kuzungulira; Ndikuyimira mtengo wogwira ntchito wapano; ndikuyimira mtengo wanthawi yomweyo wapano; w imayimira liwiro la angular; T imayimira kuzungulira kwa mphamvu ya AC; f imayimira ma frequency a AC mphamvu; N imayimira chiwerengero cha zitsanzo mumzere umodzi ; Ts imayimira nthawi yoyeserera.

3.5 RS485 kutentha ndi chinyezi utsi sensa

Poganizira kuti chingwe chamagetsi mu thiransifoma yamtundu wa bokosi chikhoza kutayikira, kuchuluka, pafupipafupi komanso kukana kukhudzana kwambiri, zomwe zingayambitse moto, ndipo kulephera kwa thiransifoma yomizidwa ndi mafuta kungayambitse moto. Choncho, m'pofunika kukhazikitsa sensa ya utsi mu thiransifoma yamtundu wa bokosi kuti muzindikire moto wamtundu wa bokosi. Pofuna kuzindikira zolephera zomwe zingatheke msanga. Pofuna kuonetsetsa kuti muyeso uli wolondola komanso kuchepetsa mtengo panthawi imodzi, kutentha kwa RS485, chinyezi ndi utsi sensa atatu-in-imodzi ntchito kudziwa kutentha, chinyezi ndi kuchuluka kwa utsi mu thiransifoma ya bokosi. The sensor kutentha ndi chinyezi amagwiritsa ntchito kafukufuku wa SHT30. Kuthamanga kwapamalo ndi kusokonezeka kwa pulse. Sensor ili ndi 4 mawaya: wofiira, wakuda, yellow, ndi green. Pakuti enieni mawaya njira ya kutentha ndi chinyezi utsi kachipangizo, chonde lemberani wopanga IoT.

Mamita anzeru ndi masensa opangidwa m'dongosolo lino amatengera protocol ya Modbus-RTU, amene angathe kulankhulana modalirika mkati zonse baud mlingo osiyanasiyana 1200-115200. Mlingo wa baud wamamita anzeru ndi masensa amayikidwa mofanana ku 9600bps. Mawonekedwe otumizira deta ndi mawonekedwe a kutembenuka kwa data a sensa ndi awa:
Sensor data query frame format. Sensa imatsatira protocol ya Modbus-RTU, ndipo kuwerenga kwa sensor kumasungidwa mu kaundula wogwirizira, ndi ntchito kodi 04. Kompyuta yapamwamba imawerenga mawonekedwe amtundu wa sensor data inquiry, ndi mawonekedwe apansi a sensa ya data ya kompyuta.

3.6 PT100 kutentha transmitter - mfundo ntchito RTD PT100 - ntchito mfundo ya sensa kutentha

PT100 kutentha transmitter ntchito kudziwa thiransifoma kutentha kwa thupi, mkulu voteji ndi otsika voteji chingwe kutentha, ndi oyenera malo osiyanasiyana mafakitale. Transformer imatulutsa zotayika panthawi yogwira ntchito, makamaka kutayika kwachitsulo ndi kutayika kwa mkuwa, kumadziwikanso kuti kutayika kwakukulu ndi kutaya katundu. Kutayika kwa mkuwa kumasiyana ndi katundu wamakono ndipo kumayenderana ndi sikweya ya katundu wapano. Fomula yowerengera kutayika kwa Transformer ili motere:

Mu chilinganizo, P0 imayimira mphamvu yogwira ntchito ya thiransifoma mu ntchito yopanda katundu pamagetsi ovotera; I1 ndi I2 imayimira mzere wamagetsi apamwamba kwambiri komanso otsika-voltage otsika; R1 ndi R2 zimayimira kukana kwamphamvu kwambiri komanso kutsika kwamphamvu kwamagetsi.

The PT100 kutentha transmitter ndi ophatikizidwa ndi RS485 basi mawonekedwe, ndipo chotengera chilichonse cha kutentha chimatha kulumikizidwa 4 PT100 masensa kutentha.

3.7 Mfundo yogwiritsira ntchito potengera kumiza m'madzi

Chifukwa otsika mlingo madzi a bokosi substation maziko dzenje, nthawi zambiri pamakhala kudzikundikira madzi mu ngalande ya chingwe ndi dzenje la maziko pambuyo pa mvula yambiri, ndipo pali ngozi yotetezeka ya kutayikira kwa chingwe, choncho kuwunika kosakhazikika ndi kukhetsa madzi ndikofunikira. M'mapangidwe awa, sensor yomiza m'madzi imagwiritsidwa ntchito kuzindikira kuchuluka kwa madzi mu dzenje la maziko a bokosilo.. Sensa yomiza madzi imagwiritsa ntchito mfundo yoyendetsera madzi, ndipo amagwiritsa ntchito maelekitirodi kuti azindikire ngati pali madzi. Sankhani chojambulira chamtundu wa kumiza m'madzi chokhala ndi ntchito yolumikizirana ya RS485. Mfundo yogwirira ntchito ya chojambulira chomiza m'madzi ikuwonetsedwa mu Chithunzi 23.

4. Mapangidwe a mapulogalamu adongosolo

Mapangidwe a mapulogalamu amaphatikizapo magawo awiri: the field bus LAN software design of the box-type transformer and the remote monitoring system design of the box-type transformer based on the Gizwits cloud platform. Chipangizo chachitetezo chophatikizika ndi microcomputer, Smart network mita ndi sensa yanzeru m'munda wamabasi amderali amtundu wa chosinthira bokosi aphatikiza mapulogalamu ogwiritsira ntchito, kotero kokha mapangidwe a pulogalamu ya pulogalamu ya woyang'anira bwalo la bokosi la transformer ndiyofunika.

4.1 Mapangidwe a pulogalamu yoyang'anira malo amtundu wa bokosi la transformer

Woyang'anira munda wa bokosi la transformer ndi dongosolo lophatikizidwa, ndipo pulogalamu yake yamapulogalamu imapangidwa ndi pulogalamu yogwiritsira ntchito, API, ophatikizidwa opaleshoni dongosolo ndi BSP (phukusi lothandizira). Malinga ndi makhalidwe a magawo ambiri, ntchito zambiri ndi zofunikira zenizeni zenizeni zomwe zimasonkhanitsidwa ndi woyang'anira gawo la 10kV wanzeru bokosi losinthira thiransifoma, μC/OS-Ⅲ yolumikizidwa munthawi yeniyeni, zomwe zimagwiritsidwa ntchito kwambiri popanga malonda ndi kafukufuku wophunzitsa, amasankhidwa. μC/OS-Ⅲ amawona ntchito ngati gawo laling'ono kwambiri, ndipo ntchito iliyonse siyenera kusamala za njira yeniyeni yoyendetsera zinthu, zomwe zimatsimikiziridwa ndi machitidwe opangira.

4.2 Mapangidwe a pulogalamu yamapulogalamu a woyang'anira gawo la box-type transformer

Mapangidwe onse a mapulogalamu a mapulogalamu amachokera ku dongosolo logawidwa lopangidwa ndi zida zanzeru, masensa, oyang'anira minda ndi nsanja zamtambo, ndi mapangidwe ndi chitukuko cha mapulogalamu ntchito ikuchitika. Kutumiza ndi kusinthana kwa data, woyang'anira pamalowo komanso seva yamtambo ya Gizwits amagwiritsa ntchito protocol yolumikizirana ya Gizwits mu firmware ya GPRS potumiza ndikusinthana data.. Tchati chachikulu choyendetsera pulogalamu ya pulogalamu yoyendetsera ntchito ya gawo la chosinthira cha bokosi.

*Pulogalamu yayikulu yamapulogalamu. Choyamba, kuyambitsa magawo onse a dongosolo, monga GPIO, serial port, koloko, kasamalidwe ka kukumbukira, ndi zina., ndi kupanga ntchito mu μC/OS-Ⅲ. STM32 imachita kupeza ndi kukonza kwa data ya sensor, ndikutumiza chidziwitso cholondola ku chiwonetsero cha LCD. Nthawi yomweyo, STM32 imatumiza malamulo a AT ku gawo la GPRS-G510, kusinthanitsa deta, imalumikizana ndi nsanja ya Gizwits kudzera mu protocol ya LwM2M, ndikuweruza ngati gawo lolumikizana la GPRS likulumikizidwa bwino ndi seva yamtambo ya Gizwits. Ngati kugwirizana kwapambana, kutumiza kwa data kumachitika. Kenako weruzani ngati zomwe zakonzedwazo ndi zazikulu kuposa zomwe zakhazikitsidwa.
Ngati ndi kulephera kwapang'ono kwa bokosi laling'ono, tumizani zambiri za data ku APP yam'manja kudzera papulatifomu yamtambo; ngati ndi kulephera wamba, tumizani chidziwitso cha alamu ya data ku APP yam'manja kudzera papulatifomu yamtambo, ndikuyambitsa gawo lolumikizana la GPRS-GA6 kuti mutumize chidziwitso cha alamu kudzera pa SMS yam'manja; ngati ndi vuto lalikulu, chidziwitso cha alarm cha data chidzatumizidwa ku foni yam'manja APP kudzera pamtambo wamtambo, ndi gawo lolumikizirana la GPRS-GA6 lidzatsegulidwa kuti litumize chidziwitso cha alamu kudzera pa meseji ya foni yam'manja ndikuyimbira anthu omwe ali oyenera.

Gawani chikondi chanu

One comment

Siyani Yankho

Imelo yanu sisindikizidwa. Minda yofunikira yalembedwa *